[ DevCourseWeb.com ] Introduction To Vhdl - Udemy

Torrent Hash:
233ADA8A6847F15028114081C8036611650FE263
Number of Files:
476
Content Size:
614.09MB
Convert On:
2022-11-11
Magnet Link:
W3siaWQiOiJhZHN0X2JfTV8zMDB4NTAiLCJhZHNwb3QiOiJiX01fMzAweDUwIiwid2VpZ2h0IjoiNSIsImZjYXAiOmZhbHNlLCJzY2hlZHVsZSI6ZmFsc2UsIm1heFdpZHRoIjoiNzY4IiwibWluV2lkdGgiOmZhbHNlLCJ0aW1lem9uZSI6ZmFsc2UsImV4Y2x1ZGUiOmZhbHNlLCJkb21haW4iOmZhbHNlLCJjb2RlIjoiPHNjcmlwdCB0eXBlPVwidGV4dFwvamF2YXNjcmlwdFwiPlxyXG4gIGF0T3B0aW9ucyA9IHtcclxuICAgICdrZXknIDogJzdkMWNjMGUxYjk4MWM5NzY4ZGI3ODUxZmM1MzVhMTllJyxcclxuICAgICdmb3JtYXQnIDogJ2lmcmFtZScsXHJcbiAgICAnaGVpZ2h0JyA6IDUwLFxyXG4gICAgJ3dpZHRoJyA6IDMyMCxcclxuICAgICdwYXJhbXMnIDoge31cclxuICB9O1xyXG4gIGRvY3VtZW50LndyaXRlKCc8c2NyJyArICdpcHQgdHlwZT1cInRleHRcL2phdmFzY3JpcHRcIiBzcmM9XCJodHRwJyArIChsb2NhdGlvbi5wcm90b2NvbCA9PT0gJ2h0dHBzOicgPyAncycgOiAnJykgKyAnOlwvXC93d3cuYm5odG1sLmNvbVwvaW52b2tlLmpzXCI+PFwvc2NyJyArICdpcHQ+Jyk7XHJcbjxcL3NjcmlwdD4ifV0=
File Name
Size
Get Bonus Downloads Here.url
182B
~Get Your Files Here !/1 - Introduction/1 - ModelSim Download.txt
65B
~Get Your Files Here !/1 - Introduction/1 - Notepad Download.txt
50B
~Get Your Files Here !/1 - Introduction/1 - Vivado Download.txt
44B
~Get Your Files Here !/1 - Introduction/1 - Welcome to the Course English.srt
6.71KB
~Get Your Files Here !/1 - Introduction/1 - Welcome to the Course.mp4
10.94MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/1 - Introduction/2 - Background.html
3.38KB
~Get Your Files Here !/1 - Introduction/3 - VHDL Usage Example 1 Circuit Simulation English.srt
3.57KB
~Get Your Files Here !/1 - Introduction/3 - VHDL Usage Example 1 Circuit Simulation.mp4
9.13MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/37 - AND Gate ModelSim Simulation English.srt
4.99KB
~Get Your Files Here !/10 - Simulations/37 - AND Gate ModelSim Simulation.mp4
11.85MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/38 - AND Gate Vivado Simulation English.srt
10.97KB
~Get Your Files Here !/10 - Simulations/38 - AND Gate Vivado Simulation.mp4
19.19MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/39 - OR Gate ModelSim Simulation English.srt
4.79KB
~Get Your Files Here !/10 - Simulations/39 - OR Gate ModelSim Simulation.mp4
10.85MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/40 - OR Gate Vivado Simulation English.srt
6.43KB
~Get Your Files Here !/10 - Simulations/40 - OR Gate Vivado Simulation.mp4
12.82MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/41 - DFlip Flop ModelSim Simulation English.srt
4.65KB
~Get Your Files Here !/10 - Simulations/41 - DFlip Flop ModelSim Simulation.mp4
9.52MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/42 - D FlipFlop Vivado Simulation English.srt
10.19KB
~Get Your Files Here !/10 - Simulations/42 - D FlipFlop Vivado Simulation.mp4
19.15MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/43 - Full Adder ModelSim Simulation English.srt
3.51KB
~Get Your Files Here !/10 - Simulations/43 - Full Adder ModelSim Simulation.mp4
9.09MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/44 - Full Adder Vivado Simulation English.srt
10.41KB
~Get Your Files Here !/10 - Simulations/44 - Full Adder Vivado Simulation.mp4
19.15MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.cache/wt/java_command_handlers.wdf
766B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.cache/wt/project.wpc
61B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.cache/wt/webtalk_pa.xml
2.11KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.cache/wt/xsim.wdf
256B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.hw/And_Gate_Simulation.lpr
290B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.hw/webtalk/labtool_webtalk.log
432B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.hw/webtalk/usage_statistics_ext_labtool.html
2.84KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.hw/webtalk/usage_statistics_ext_labtool.xml
2.42KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.hw/webtalk/xsim_webtallk.info
59B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.ip_user_files/README.txt
130B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/AND_GATE.tcl
460B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/AND_GATE_behav.wdb
2.92KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/AND_GATE_vhdl.prj
176B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/compile.bat
317B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/compile.log
265B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/elaborate.bat
354B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/elaborate.log
696B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/simulate.bat
279B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/simulate.log
50B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/webtalk.jou
1.12KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/webtalk.log
1.19KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/webtalk_14896.backup.jou
1.12KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/webtalk_14896.backup.log
1.19KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xelab.pb
1.45KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/Compile_Options.txt
186B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/TempBreakPointFile.txt
29B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/webtalk/usage_statistics_ext_xsim.html
3.2KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/webtalk/usage_statistics_ext_xsim.xml
2.78KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/webtalk/xsim_webtallk.info
64B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.dbg
1.04KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.mem
1.19KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.reloc
154B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.rtti
122B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.svtype
8B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.type
5.05KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsim.xdbg
136B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsimcrash.log
1.47KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsimk.exe
105.18KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/AND_GATE_behav/xsimkernel.log
324B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/and_gate.vdb
1.19KB
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
190B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xsim.ini
40B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xvhdl.log
265B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.sim/sim_1/behav/xvhdl.pb
400B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.srcs/sources_1/imports/Source_Code/AND_GATE.vhd
290B
~Get Your Files Here !/10 - Simulations/And_Gate_Simulation/And_Gate_Simulation.xpr
5.85KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.cache/wt/java_command_handlers.wdf
9.13KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.cache/wt/project.wpc
61B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.cache/wt/webtalk_pa.xml
6.27KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.cache/wt/xsim.wdf
256B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.hw/DFF_Simulation.lpr
290B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.ip_user_files/README.txt
130B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/compile.bat
317B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/compile.log
496B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/elaborate.bat
354B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/elaborate.log
884B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/simulate.bat
279B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/simulate.log
50B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/test_Dff.tcl
459B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/test_Dff_behav.wdb
7.63KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/test_Dff_vhdl.prj
258B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/webtalk.jou
1.07KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/webtalk.log
1.14KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/webtalk_10740.backup.jou
1.07KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/webtalk_10740.backup.log
1.14KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xelab.pb
1.89KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/Compile_Options.txt
186B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/TempBreakPointFile.txt
29B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/webtalk/usage_statistics_ext_xsim.html
3.2KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/webtalk/usage_statistics_ext_xsim.xml
2.78KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/webtalk/xsim_webtallk.info
64B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.dbg
3.01KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.mem
1.8KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.reloc
335B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.rtti
1.41KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.svtype
8B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.type
5.89KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsim.xdbg
408B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsimcrash.log
1.39KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsimk.exe
110.98KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/test_Dff_behav/xsimkernel.log
324B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/dff.vdb
2.44KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/test_dff.vdb
4.98KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
338B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xsim.ini
40B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xvhdl.log
496B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.sim/sim_1/behav/xvhdl.pb
750B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.srcs/sim_1/imports/D_Flip_Flop/test_Dff.vhd
1.68KB
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.srcs/sources_1/imports/D_Flip_Flop/Dff.vhd
832B
~Get Your Files Here !/10 - Simulations/DFF_Simulation/DFF_Simulation.xpr
6.25KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.cache/wt/java_command_handlers.wdf
9.05KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.cache/wt/project.wpc
61B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.cache/wt/webtalk_pa.xml
6.22KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.cache/wt/xsim.wdf
256B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.hw/Full_Adder_Simulation.lpr
290B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.ip_user_files/README.txt
130B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/compile.bat
335B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/compile.log
556B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/elaborate.bat
372B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/elaborate.log
925B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/simulate.bat
306B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/simulate.log
320B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/test_Full_Adder_1.tcl
459B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/test_Full_Adder_1_behav.wdb
8.37KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/test_Full_Adder_1_vhdl.prj
286B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/webtalk.jou
1.16KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/webtalk.log
1.22KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/webtalk_69668.backup.jou
1.16KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/webtalk_69668.backup.log
1.22KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xelab.pb
1.93KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/Compile_Options.txt
204B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/TempBreakPointFile.txt
29B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/webtalk/usage_statistics_ext_xsim.html
3.2KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/webtalk/usage_statistics_ext_xsim.xml
2.78KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/webtalk/xsim_webtallk.info
64B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.dbg
3.57KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.mem
1.98KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.reloc
479B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.rtti
1.47KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.svtype
8B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.type
5.89KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsim.xdbg
680B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsimcrash.log
1.39KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsimk.exe
112.5KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/test_Full_Adder_1_behav/xsimkernel.log
340B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/full_adder_1.vdb
1.62KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/test_full_adder_1.vdb
6.63KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
380B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xsim.ini
40B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xvhdl.log
556B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.sim/sim_1/behav/xvhdl.pb
810B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.srcs/sim_1/imports/Full_Adder/test_Full_Adder.vhd
2.31KB
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.srcs/sources_1/imports/Full_Adder/Full_Adder_1.vhd
426B
~Get Your Files Here !/10 - Simulations/Full_Adder_Simulation/Full_Adder_Simulation.xpr
6.32KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.cache/wt/java_command_handlers.wdf
9KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.cache/wt/project.wpc
61B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.cache/wt/webtalk_pa.xml
6.31KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.cache/wt/xsim.wdf
256B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.hw/OR_Gate_Simulation.lpr
290B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.hw/webtalk/labtool_webtalk.log
430B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.hw/webtalk/usage_statistics_ext_labtool.html
2.84KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.hw/webtalk/usage_statistics_ext_labtool.xml
2.42KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.hw/webtalk/xsim_webtallk.info
59B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.ip_user_files/README.txt
130B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/OR_GATE.tcl
460B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/OR_GATE_behav.wdb
2.92KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/OR_GATE_vhdl.prj
174B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/compile.bat
315B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/compile.log
261B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/elaborate.bat
352B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/elaborate.log
692B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/simulate.bat
276B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/simulate.log
50B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/webtalk.jou
1.11KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/webtalk.log
1.17KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/webtalk_1208.backup.jou
1.11KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/webtalk_1208.backup.log
1.17KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xelab.pb
1.45KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/Compile_Options.txt
184B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/TempBreakPointFile.txt
29B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/webtalk/usage_statistics_ext_xsim.html
3.2KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/webtalk/usage_statistics_ext_xsim.xml
2.78KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/webtalk/xsim_webtallk.info
64B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.dbg
1.04KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.mem
1.17KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.reloc
154B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.rtti
122B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.svtype
8B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.type
5.05KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsim.xdbg
136B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsimcrash.log
1.3KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsimk.exe
105.18KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/OR_GATE_behav/xsimkernel.log
322B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/or_gate.vdb
1.18KB
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
187B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xsim.ini
40B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xvhdl.log
261B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.sim/sim_1/behav/xvhdl.pb
396B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.srcs/sources_1/imports/Source_Code/OR_GATE.vhd
285B
~Get Your Files Here !/10 - Simulations/OR_Gate_Simulation/OR_Gate_Simulation.xpr
6.39KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/45 - Priority Encoder VHDL Design English.srt
10.63KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/45 - Priority Encoder VHDL Design.mp4
19.62MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/46 - Priority Encoder Test Bench Design.mp4
29.51MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/47 - Priority Encoder Vivado Simulation English.srt
9.21KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/47 - Priority Encoder Vivado Simulation.mp4
21.76MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/48 - Priority Encoder IO Assignments English.srt
7.18KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/48 - Priority Encoder IO Assignments.mp4
16.69MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/49 - Priority Encoder Synthesis and Implementation English.srt
3.23KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/49 - Priority Encoder Synthesis and Implementation.mp4
7.8MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/50 - Priority Encoder Generating Bitstream English.srt
2.05KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/50 - Priority Encoder Generating Bitstream.mp4
6.2MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/51 - Program and Configure Your FPGA English.srt
4.36KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/51 - Program and Configure Your FPGA.mp4
11.94MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/52 - Test Design on the FPGA English.srt
4.52KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/52 - Test Design on the FPGA.mp4
20.42MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Basys3_Master.xdc
13.14KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.cache/wt/java_command_handlers.wdf
1.83KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.cache/wt/project.wpc
121B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.cache/wt/synthesis.wdf
5.14KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.cache/wt/synthesis_details.wdf
100B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.cache/wt/webtalk_pa.xml
2.85KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.cache/wt/xsim.wdf
256B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.hw/Priority_Encoder.lpr
343B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.hw/hw_1/hw.xml
685B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.ip_user_files/README.txt
130B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/ISEWrap.js
7.14KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/ISEWrap.sh
1.68KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2.bit
2.09MB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2.tcl
1.92KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2.vdi
21.7KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_238692.backup.vdi
17.01KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_clock_utilization_routed.rpt
7.31KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_control_sets_placed.rpt
2.5KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_drc_opted.rpt
1.72KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_drc_routed.pb
37B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_drc_routed.rpt
1.8KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_io_placed.rpt
60.07KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_opt.dcp
115KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_placed.dcp
117.52KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_power_routed.rpt
6.95KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_power_routed.rpx
7.42KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_power_summary_routed.pb
723B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_route_status.pb
43B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_route_status.rpt
588B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_routed.dcp
120.91KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_timing_summary_routed.rpt
7.17KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_timing_summary_routed.rpx
3.82KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_utilization_placed.pb
249B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Priority_Encoder_2_utilization_placed.rpt
7.9KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/Vivado_Implementation.queue.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/gen_run.xml
6.07KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/htr.txt
405B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/init_design.begin.rst
176B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/init_design.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/init_design.pb
1.59KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/opt_design.begin.rst
176B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/opt_design.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/opt_design.pb
5.34KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/place_design.begin.rst
176B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/place_design.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/place_design.pb
13.28KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/project.wdf
3.54KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/route_design.begin.rst
176B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/route_design.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/route_design.pb
7.96KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/rundef.js
1.37KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/runme.bat
229B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/runme.log
21.14KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/runme.sh
1.29KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/usage_statistics_webtalk.html
18.77KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/usage_statistics_webtalk.xml
25.06KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/vivado.begin.rst
350B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/vivado.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/vivado.jou
898B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/vivado.pb
149B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/vivado_238692.backup.jou
898B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/write_bitstream.begin.rst
176B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/write_bitstream.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/impl_1/write_bitstream.pb
6.02KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/jobs/vrs_config_1.xml
270B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/jobs/vrs_config_2.xml
284B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/jobs/vrs_config_3.xml
291B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/ISEWrap.js
7.14KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/ISEWrap.sh
1.68KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Priority_Encoder_2.dcp
7.71KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Priority_Encoder_2.tcl
1.63KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Priority_Encoder_2.vds
17.92KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Priority_Encoder_2_utilization_synth.pb
249B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Priority_Encoder_2_utilization_synth.rpt
6.78KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Vivado_Synthesis.queue.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/Xil/Priority_Encoder_2_propImpl.xdc
1.93KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/gen_run.xml
2.07KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/htr.txt
397B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/project.wdf
3.54KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/rundef.js
1.3KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/runme.bat
229B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/runme.log
17.69KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/runme.sh
1.22KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/vivado.begin.rst
175B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/vivado.end.rst
0B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/vivado.jou
893B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.runs/synth_1/vivado.pb
27.93KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/compile.bat
347B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/compile.log
574B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/elaborate.bat
384B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/elaborate.log
961B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/simulate.bat
324B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/simulate.log
3.43KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/test_Priority_Encoder_2.tcl
460B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/test_Priority_Encoder_2_behav.wdb
5.61KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/test_Priority_Encoder_2_vhdl.prj
302B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/webtalk.jou
1.12KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/webtalk.log
1.19KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/webtalk_225436.backup.jou
1.12KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/webtalk_225436.backup.log
1.19KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xelab.pb
1.97KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/Compile_Options.txt
216B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/TempBreakPointFile.txt
29B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/webtalk/usage_statistics_ext_xsim.html
3.2KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/webtalk/usage_statistics_ext_xsim.xml
2.78KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/webtalk/xsim_webtallk.info
64B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.dbg
2.29KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.mem
1.74KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.reloc
210B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.rtti
332B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.svtype
8B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.type
5.89KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsim.xdbg
600B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsimcrash.log
1.12KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsimk.exe
115.18KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/test_Priority_Encoder_2_behav/xsimkernel.log
354B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/xil_defaultlib/priority_encoder_2.vdb
2.63KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/xil_defaultlib/test_priority_encoder_2.vdb
4.42KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
386B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xsim.ini
40B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xvhdl.log
574B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.sim/sim_1/behav/xvhdl.pb
828B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.srcs/constrs_1/imports/Priority_Encoder/Basys3_Master.xdc
13.17KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.srcs/sim_1/imports/Priority_Encoder/test_Priority_Encoder_2.vhd
1.4KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.srcs/sources_1/imports/Priority_Encoder/Priority_Encoder_2.vhd
794B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder.xpr
6.72KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/Priority_Encoder_2.vhd
794B
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/Priority_Encoder/test_Priority_Encoder_2.vhd
1.4KB
~Get Your Files Here !/11 - FPGA Development Flow Project Using VHDL/var_example.vhd
1.51KB
~Get Your Files Here !/12 - Conclusion/53 - Appendix A Reading VHDL BNF.html
3.31KB
~Get Your Files Here !/12 - Conclusion/54 - Conclusion English.srt
2.07KB
~Get Your Files Here !/12 - Conclusion/54 - Conclusion.mp4
4.62MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/2 - Objects/10 - Files.html
6.47KB
~Get Your Files Here !/2 - Objects/4 - Objects.html
1.85KB
~Get Your Files Here !/2 - Objects/5 - Signals.html
4.39KB
~Get Your Files Here !/2 - Objects/6 - Signal Example English.srt
8.01KB
~Get Your Files Here !/2 - Objects/6 - Signal Example.mp4
12.75MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/2 - Objects/7 - Variables.html
3.21KB
~Get Your Files Here !/2 - Objects/8 - VHDL Variable Example English.srt
10.46KB
~Get Your Files Here !/2 - Objects/8 - VHDL Variable Example.mp4
19.9MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/2 - Objects/9 - Constants.html
2.26KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.cache/wt/java_command_handlers.wdf
41.51KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.cache/wt/project.wpc
61B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.cache/wt/webtalk_pa.xml
23.05KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.cache/wt/xsim.wdf
256B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.hw/VHDL_Variable_Examples.lpr
290B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.ip_user_files/README.txt
130B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/compile.bat
323B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/compile.log
261B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/elaborate.bat
360B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/elaborate.log
707B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/simulate.bat
288B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/simulate.log
50B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/var_example.tcl
460B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/var_example_behav.wdb
5KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/var_example_vhdl.prj
166B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/webtalk.jou
1.15KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/webtalk.log
1.22KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/webtalk_11868.backup.jou
1.15KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/webtalk_11868.backup.log
1.22KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xelab.pb
1.46KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/Compile_Options.txt
192B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/TempBreakPointFile.txt
29B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/webtalk/usage_statistics_ext_xsim.html
3.2KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/webtalk/usage_statistics_ext_xsim.xml
2.78KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/webtalk/xsim_webtallk.info
64B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.dbg
1.62KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.mem
1.35KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.reloc
247B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.rtti
286B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.svtype
8B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.type
5.05KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsim.xdbg
584B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsimcrash.log
1.3KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsimk.exe
107.24KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/var_example_behav/xsimkernel.log
330B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/xil_defaultlib/var_example.vdb
2.56KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
183B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xsim.ini
40B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xvhdl.log
261B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.sim/sim_1/behav/xvhdl.pb
396B
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.srcs/sources_1/new/var_example.vhd
1.51KB
~Get Your Files Here !/2 - Objects/VHDL_Variable_Examples/VHDL_Variable_Examples.xpr
5.72KB
~Get Your Files Here !/3 - Data Types/11 - Standard Logic 1164.html
57.22KB
~Get Your Files Here !/3 - Data Types/12 - Standard Logic Text IO Package.html
3.74KB
~Get Your Files Here !/3 - Data Types/13 - Standard Logic Arithmetic.html
72.08KB
~Get Your Files Here !/3 - Data Types/14 - Numeric Bit.html
89.5KB
~Get Your Files Here !/4 - Loops and Statements/15 - IF Statement.html
4.92KB
~Get Your Files Here !/4 - Loops and Statements/16 - CASE Statement.html
3.9KB
~Get Your Files Here !/4 - Loops and Statements/17 - LOOP Statement.html
5.85KB
~Get Your Files Here !/4 - Loops and Statements/18 - NEXT Statement.html
2.12KB
~Get Your Files Here !/4 - Loops and Statements/19 - EXIT Statement.html
4.74KB
~Get Your Files Here !/5 - Design Structure/20 - Entity Example 1 Digital Logic Circuit English.srt
5.42KB
~Get Your Files Here !/5 - Design Structure/20 - Entity Example 1 Digital Logic Circuit.mp4
10.48MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/5 - Design Structure/21 - Entity Example 2 Multiplexer English.srt
5.75KB
~Get Your Files Here !/5 - Design Structure/21 - Entity Example 2 Multiplexer.mp4
11.47MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/5 - Design Structure/22 - Architecture Example 1 Digital Logic Circuit English.srt
6.2KB
~Get Your Files Here !/5 - Design Structure/22 - Architecture Example 1 Digital Logic Circuit.mp4
13.47MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/5 - Design Structure/23 - Architecture Example 2 Multiplexer English.srt
7.48KB
~Get Your Files Here !/5 - Design Structure/23 - Architecture Example 2 Multiplexer.mp4
15.02MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/5 - Design Structure/Architecture_Example_1/ARCH_EXAMPLE_1.vhd
369B
~Get Your Files Here !/5 - Design Structure/Architecture_Example_2/ARCH_EXAMPLE_2.vhd
499B
~Get Your Files Here !/5 - Design Structure/Entity_Example_1/ENTITY_EXAMPLE_1.vhd
240B
~Get Your Files Here !/5 - Design Structure/Entity_Example_2/ENTITY_EXAMPLE_2.vhd
306B
~Get Your Files Here !/6 - Data Flow Design Style/24 - Logic Gate VHDL Implementations.html
6.29KB
~Get Your Files Here !/6 - Data Flow Design Style/25 - AND Gate VHDL Design English.srt
9.25KB
~Get Your Files Here !/6 - Data Flow Design Style/25 - AND Gate VHDL Design.mp4
14.65MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/6 - Data Flow Design Style/26 - OR Gate VHDL Design English.srt
3.58KB
~Get Your Files Here !/6 - Data Flow Design Style/26 - OR Gate VHDL Design.mp4
8.27MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/6 - Data Flow Design Style/27 - Half Adder Data Flow Design English.srt
5.52KB
~Get Your Files Here !/6 - Data Flow Design Style/27 - Half Adder Data Flow Design.mp4
12.38MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/6 - Data Flow Design Style/28 - Full Adder Dataflow Design English.srt
7.49KB
~Get Your Files Here !/6 - Data Flow Design Style/28 - Full Adder Dataflow Design.mp4
17.35MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/6 - Data Flow Design Style/Full_Adder_dataflow/Full_Adder_1.vhd
426B
~Get Your Files Here !/6 - Data Flow Design Style/Half_Adder/HALF_ADDER.vhd
338B
~Get Your Files Here !/6 - Data Flow Design Style/Logic_Gates/AND_GATE.vhd
290B
~Get Your Files Here !/6 - Data Flow Design Style/Logic_Gates/NAND_GATE.vhd
295B
~Get Your Files Here !/6 - Data Flow Design Style/Logic_Gates/NOR_GATE.vhd
290B
~Get Your Files Here !/6 - Data Flow Design Style/Logic_Gates/OR_GATE.vhd
285B
~Get Your Files Here !/6 - Data Flow Design Style/Logic_Gates/XNOR_GATE.vhd
295B
~Get Your Files Here !/6 - Data Flow Design Style/Logic_Gates/XOR_GATE.vhd
290B
~Get Your Files Here !/7 - Behavioral Design Style/29 - Full Adder Behavioral Design English.srt
13.66KB
~Get Your Files Here !/7 - Behavioral Design Style/29 - Full Adder Behavioral Design.mp4
26.12MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/7 - Behavioral Design Style/30 - D FlipFlop Behavioral Design English.srt
13.23KB
~Get Your Files Here !/7 - Behavioral Design Style/30 - D FlipFlop Behavioral Design.mp4
24.83MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/7 - Behavioral Design Style/31 - Comparator Behavioral Design English.srt
10.84KB
~Get Your Files Here !/7 - Behavioral Design Style/31 - Comparator Behavioral Design.mp4
19.27MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/7 - Behavioral Design Style/Comparator/Comparator.vhd
699B
~Get Your Files Here !/7 - Behavioral Design Style/D_Flip_Flop/Dff.vhd
832B
~Get Your Files Here !/7 - Behavioral Design Style/D_Flip_Flop/test_Dff.vhd
1.68KB
~Get Your Files Here !/7 - Behavioral Design Style/Full_Adder_Behave/Full_Adder_2.vhd
1023B
~Get Your Files Here !/8 - Structural Design Style/2_1_Mux/2_1_Mux.vhd
669B
~Get Your Files Here !/8 - Structural Design Style/2_1_Mux/AND_GATE.vhd
290B
~Get Your Files Here !/8 - Structural Design Style/2_1_Mux/OR_GATE.vhd
285B
~Get Your Files Here !/8 - Structural Design Style/32 - Full Adder Structural Design English.srt
10KB
~Get Your Files Here !/8 - Structural Design Style/32 - Full Adder Structural Design.mp4
21.74MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/8 - Structural Design Style/33 - SetReset Latch Structural Design English.srt
8.77KB
~Get Your Files Here !/8 - Structural Design Style/33 - SetReset Latch Structural Design.mp4
18.1MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/8 - Structural Design Style/34 - 21 Multiplexer Structural Design English.srt
10.06KB
~Get Your Files Here !/8 - Structural Design Style/34 - 21 Multiplexer Structural Design.mp4
20.12MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/8 - Structural Design Style/Full_Adder_Struct/Full_Adder_3.vhd
765B
~Get Your Files Here !/8 - Structural Design Style/Full_Adder_Struct/HALF_ADDER.vhd
338B
~Get Your Files Here !/8 - Structural Design Style/SR_Latch/NOR_GATE.vhd
290B
~Get Your Files Here !/8 - Structural Design Style/SR_Latch/SR_LATCH.vhd
606B
~Get Your Files Here !/9 - Test Bench Designs/35 - Full Adder Test Bench Design English.srt
15.43KB
~Get Your Files Here !/9 - Test Bench Designs/35 - Full Adder Test Bench Design.mp4
31.63MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/9 - Test Bench Designs/36 - D FlipFlop Test Bench Design English.srt
18.77KB
~Get Your Files Here !/9 - Test Bench Designs/36 - D FlipFlop Test Bench Design.mp4
41.84MB
W3siaWQiOiJleG9jX2JfUExBWSIsImFkc3BvdCI6ImJfUExBWSIsIndlaWdodCI6IjEiLCJmY2FwIjpmYWxzZSwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjwhLS1cclxuPGEgaHJlZj1cImh0dHBzOlwvXC9zeW5kaWNhdGlvbi5keW5zcnZ0YmcuY29tXC9zcGxhc2gucGhwP2lkem9uZT0xOTYxMDkyJnJldHVybl91cmw9aHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2J0c1wiICBjbGFzcz1cImJ0biBidG4td2FybmluZ1wiIHRhcmdldD1cIl9ibGFua1wiPjxzcGFuIGNsYXNzPVwiZ2x5cGhpY29uIGdseXBoaWNvbi1wbGF5XCI+PFwvc3Bhbj4gUGxheSBOb3c8XC9hPlxyXG4tLT4ifV0=
~Get Your Files Here !/9 - Test Bench Designs/D_Flip_Flop/Dff.vhd
832B
~Get Your Files Here !/9 - Test Bench Designs/D_Flip_Flop/test_Dff.vhd
1.68KB
~Get Your Files Here !/9 - Test Bench Designs/Full_Adder/Full_Adder_1.vhd
426B
~Get Your Files Here !/9 - Test Bench Designs/Full_Adder/Full_Adder_2.vhd
1023B
~Get Your Files Here !/9 - Test Bench Designs/Full_Adder/Full_Adder_3.vhd
765B
~Get Your Files Here !/9 - Test Bench Designs/Full_Adder/HALF_ADDER.vhd
338B
~Get Your Files Here !/9 - Test Bench Designs/Full_Adder/test_Full_Adder.vhd
2.31KB
~Get Your Files Here !/Bonus Resources.txt
386B

Latest Search:

W3siaWQiOiJhZHN0X2JfTV8zMDB4NTAiLCJhZHNwb3QiOiJiX01fMzAweDUwIiwid2VpZ2h0IjoiNSIsImZjYXAiOmZhbHNlLCJzY2hlZHVsZSI6ZmFsc2UsIm1heFdpZHRoIjoiNzY4IiwibWluV2lkdGgiOmZhbHNlLCJ0aW1lem9uZSI6ZmFsc2UsImV4Y2x1ZGUiOmZhbHNlLCJkb21haW4iOmZhbHNlLCJjb2RlIjoiPHNjcmlwdCB0eXBlPVwidGV4dFwvamF2YXNjcmlwdFwiPlxyXG4gIGF0T3B0aW9ucyA9IHtcclxuICAgICdrZXknIDogJzdkMWNjMGUxYjk4MWM5NzY4ZGI3ODUxZmM1MzVhMTllJyxcclxuICAgICdmb3JtYXQnIDogJ2lmcmFtZScsXHJcbiAgICAnaGVpZ2h0JyA6IDUwLFxyXG4gICAgJ3dpZHRoJyA6IDMyMCxcclxuICAgICdwYXJhbXMnIDoge31cclxuICB9O1xyXG4gIGRvY3VtZW50LndyaXRlKCc8c2NyJyArICdpcHQgdHlwZT1cInRleHRcL2phdmFzY3JpcHRcIiBzcmM9XCJodHRwJyArIChsb2NhdGlvbi5wcm90b2NvbCA9PT0gJ2h0dHBzOicgPyAncycgOiAnJykgKyAnOlwvXC93d3cuYm5odG1sLmNvbVwvaW52b2tlLmpzXCI+PFwvc2NyJyArICdpcHQ+Jyk7XHJcbjxcL3NjcmlwdD4ifV0=
W3siaWQiOiJhZHN0X2JfUE9QVU5ERVIiLCJhZHNwb3QiOiJiX1BPUFVOREVSIiwid2VpZ2h0IjoiNTkiLCJmY2FwIjoiMiIsInNjaGVkdWxlIjpmYWxzZSwibWF4V2lkdGgiOmZhbHNlLCJtaW5XaWR0aCI6Ijc2OCIsInRpbWV6b25lIjpmYWxzZSwiZXhjbHVkZSI6ZmFsc2UsImRvbWFpbiI6ZmFsc2UsImNvZGUiOiI8c2NyaXB0IHR5cGU9J3RleHRcL2phdmFzY3JpcHQnIHNyYz0nXC9cL2luY3JlYXNpbmdseWNvY2tyb2FjaHBvbGljeS5jb21cL2RlXC9jOFwvZjRcL2RlYzhmNGVmM2MyZGU4NDVhN2FkNDAwZmVlYTc4MGUzLmpzJz48XC9zY3JpcHQ+In0seyJpZCI6ImNsaWNfYl9QT1BVTkRFUiIsImFkc3BvdCI6ImJfUE9QVU5ERVIiLCJ3ZWlnaHQiOiI2MCIsImZjYXAiOiIyIiwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IiJ9LHsiaWQiOiJqYXZfYl9QT1BVTkRFUiIsImFkc3BvdCI6ImJfUE9QVU5ERVIiLCJ3ZWlnaHQiOiI1MiIsImZjYXAiOiIxIiwic2NoZWR1bGUiOmZhbHNlLCJtYXhXaWR0aCI6ZmFsc2UsIm1pbldpZHRoIjpmYWxzZSwidGltZXpvbmUiOmZhbHNlLCJleGNsdWRlIjpmYWxzZSwiZG9tYWluIjpmYWxzZSwiY29kZSI6IjxzY3JpcHQ+XHJcbiQoZG9jdW1lbnQuYm9keSkub24oXCJjbGlja1wiLCBmdW5jdGlvbihldmVudCkge1xyXG4gIHdpbmRvdy5vcGVuKFwiaHR0cHM6XC9cL3RlbGxtZS5wd1wvZ29cL2phdlwiKTtcclxuICAkKHRoaXMpLm9mZihcImNsaWNrXCIpO1xyXG59KTtcclxuPFwvc2NyaXB0PiJ9XQ==